附zynq7000开发用户手册 zynq7000开发步骤


附zynq7000开发用户手册 zynq7000开发步骤

文章插图
本系列文章我们介绍下Xilinx公司的ZYNQ SOC软件开发 , 软件设计采用的硬件平台为Xilinx XC7Z035-2FFG676 。通过该系列文章我们学习ZYNQ SOC软件开发的基本流程、MIO使用、EMIO使用以及中断资源的使用等相关入门类知识 , 同时掌握Xilinx Vivado软件调试相关的方法等 。作为本系列开篇文章 , 本文学习以下内容:
ZYNQ-7000 SOC软件开发流程实现ZYNQ SOC Hello word实验例程(熟悉开发流程)1.ZYNQ-7000 SOC软件开发流程ZYNQ-7000 SOC是Xilinx公司推出的FPGA+ARM架构的单芯片片上系统(SOC) , 它在性价比、性能、成本及可升级性等众多方面相对于单纯的FPGA逻辑或者ARM处理器具有很大优势 。目前 , 市面上ZYNQ SOC的应用也是非常的广泛 , 如自动驾驶、视听娱乐、机器视觉、蜂窝网通信等等 。
ZYNQ SOC的开发流程与以往的纯FPGA逻辑开发有所不同 , 这一点我们在后续文章专门进行相关的介绍 。图1给出了进行ZYNQ SOC开发的流程框图 。
图1、ZYNQ SOC开发的流程框图
从图1中我们可以看到:
1.项目任务需求实现功能划分
我们在进行ZYNQ SOC项目开发时 , 一般先对项目需求展开分析 , 将设计任务合理划分为硬件设计(Vivado:FPGA逻辑设计)和软件设计(SDK:ARM嵌入式软件设计) 。一般来说FPGA逻辑执行速度快 , 延迟小 , 实现固定算法、高速接口处理等 , 软件则实现执行速度慢 , 复杂控制部分功能 。
2.硬件平台设计
利用Vivado开发环境搭建SDK硬件配置环境是ZYNQ SOC设计的第一步 。我们在IP Integrator集成环境内实现PS配置 , 如DDR3、时钟、MIO、PL和PS时钟、中断等;完成各个IP模块信号连接;验证各个IP连接正确性;生成整个硬件平台的顶层HDL文件 。
然后 , 对工程添加管脚约束(不使用FPGA外设管脚 , 可不添加) , 经过综合、实现 , 生成硬件bit流文件 。最后将硬件配置信息导入到SDK完成硬件平台搭建 。
3.SDK软件设计
硬件配置信息导入到SDK后 , 我们就可以创建板级支持包(.bsp) , 建立应用工程 , 进行软件开发与调试 , 最终生成.elf文件 。
4.配置文件下载
生成.elf文件后 , 我们可以将比特流文件和该文件一起产生可执行文件 , 并下载到配置存储器中 , 完成配置文件存储 。待电路板上时 , 按照对应的启动模式加载配置文件 , 实现硬件和软件启动 。
2.Hello word实验例程2.1实验系统框图本实验通过使用SDK自带的测试例程实现Hello Word语句输出 , 系统框图如2所示 。
图2、实验系统框图
2.2硬件平台设计我们利用Xilinx Vivado集成开发环境搭建硬件平台 。
1.建立Vivado工程
step2.输入工程名称及工程路径 , 点击NEXT 。
Step3:建立空工程 , 点击NEXT 。
Step4:选择芯片型号 。
Step5:点击Finish , 完成工程建立 。
Step6:点击IP INTEGRATOR , 然后点击Create Block Design , 创建系统框图 。
Step7:点击图中“+” , 添加IP
Step8:在Serach里搜索ZYNQ , 然后双击过滤显示的ZYNQ7 Processing System 。
Step9:点击Run Block Automation , 自动添加ARM外设I/O
Step10:点击OK
Step11:将鼠标放置在FCLK_CLK0 , 弹出铅笔符号时 , 按住左键拖到M_AXI_GP0_ACLK管脚 , 完成PS时钟到PL时钟连接(不用FPGA逻辑资源 , 可以不用连接) 。


以上关于本文的内容,仅作参考!温馨提示:如遇健康、疾病相关的问题,请您及时就医或请专业人士给予相关指导!

「四川龙网」www.sichuanlong.com小编还为您精选了以下内容,希望对您有所帮助: